Programação  
 
Conhecimento computador >> Programação >> Programação De Computador Idiomas >> 
Definição das Demonstrações seqüenciais
Muito alta velocidade Circuitos integrados Hardware Description Language , ou VHDL, é uma linguagem de modelagem utilizado para descrever os circuitos . Ele é utilizado para testar a lógica , simulando , por exemplo , uma máquina , e é mais vulgarmente utilizado como parte do processo de concepção . VHDL também pode ser utilizado como uma linguagem de programação de programas de processamento de texto . Dentro de um teste VHDL ou programa , as instruções seqüenciais orientar o processo , progredindo em uma ordem específica. Projetando Máquinas

desenvolvedores usam VHDL , a fim de projetar circuitos teóricos que podem ser sintetizados e configurados em um circuito real para uma máquina real. Quando escrito , VHDL assemelha outras linguagens de programação usando comandos e portas lógicas , que os dados do processo e , em seguida, orientar o processo ou programa para o próximo passo lógico. Muitas vezes, utiliza a lógica booleana , ou a lógica baseada em "decisões" que podem ser respondidas em opostos austero, como "off" e " on " ; "sim" e "não" , ou " verdadeiro" e " falso". < Br >
Descrevendo Machines

VHDL descreve o sistema em que um programador pode construir uma máquina , mas em termos abstratos. Mais tarde , estes termos sumário pode ser mapeada como circuitos reais , mas durante a codificação inicial , os programadores criar um fluxo de dados ou informação que se move de acordo com um algoritmo ou um caminho de fluxo . Usando um algoritmo processa informações através desse algoritmo, fornece uma resposta, e essa resposta , então, determina o próximo passo no processo. Em caminhos de fluxo , os dados chega a uma porta lógica que decide , com base na lógica booleana , o próximo passo . Os próximos passos são controlados por declarações seqüenciais , que são fornecidos em um determinado , a ordem pré- determinada e orientar os dados ou informações nesta ordem.

Demonstrações seqüenciais

Uma instrução sequencial é utilizado no corpo do processo descrito no arquivo VHDL . A declaração seqüencial é desta forma chamado devido a essa afirmação ensinar devem ser executados sequencialmente, e essa ordem é definida durante a programação do processo. Como um arquivo VHDL é lido de cima para baixo , as declarações seqüenciais que aparecem na parte superior são executados primeiro , com as declarações posteriores realizados como eles são atingidos , movendo-se para baixo.

Condições
< br >

declarações seqüenciais começar por afirmar uma condição. Esta condição representa a lógica binária escolha booleano , e começa a execução da instrução com base no valor determinado pela condição . Por exemplo , a condição pode representar "verdadeiro" ou "falso ", e se os dados ou a informação é , de fato, verdadeiro ou falso determina a declaração seqüencial ninho , levando os dados ou informações através do resto do processo .


Anterior :

Próximo : No
  Os artigos relacionados
·Como criar um feed RSS a partir de sua página ColdFusi…
·Como converter dados SQR a ETL 
·Diferença entre pacotes e arquivos de cabeçalho 
·Pode COBOL exibir um valor Hex 
·Qual é o formato MARC 
·Como usar o LabVIEW RealTime Blocos Funcionais 
·Como fazer XSL Ignore a referência DTD em XML 
·Como criar uma nova função na Programação Modular 
·O que é o Python 2.4.3 
·Existe uma vantagem de usar um argumento em vez de decl…
  Artigos em destaque
·Como escrever uma associação externa no AFD em WebFOC…
·Como fazer uma pasta em C + + 
·Como colocar um arquivo de texto em um vetor de estrutu…
·Qual é o significado de ANSI 
·Funções de primeira classe em Python 
·Falha API de Segurança: token Obrigatório 
·Como compilar em Borland C + + 
·Como converter de String para Char em C + + 
·Como Iniciar um código C Desde o Prompt de Comando 
·C + + Tipos de Dados 
Cop e direita © Conhecimento computador http://ptcomputador.com Todos os Direitos Reservados