Programação  
 
Conhecimento computador >> Programação >> Programação De Computador Idiomas >> 
Um tutorial para Altera FPGA
Altera fornece o software Quartus II para programar placas FPGA , também conhecida como field-programmable gate arrays . FPGAs podem demonstrar princípios básicos de engenharia informática e instrutores de engenharia informática costumam usar FPGA e projetos Altera a ensinar projetos simples, como de sete segmentos LED displays. Software da Altera Quartus II permite que você crie os diagramas de blocos lógicos e código VHDL . Você também pode usar os diagramas ou o código para criar projetos como contadores e outros tipos de circuitos lógicos. Instruções
1

software Open Altera Quartus II e criar um novo projeto , clicando em " Arquivo" na barra de menu superior e clique em " New Project Wizard ". Clique em " Next" e selecione o diretório no qual deseja criar o projeto. Dê o projecto e entidade projeto um nome e clique em "Finish" para criar o projeto .
2

Clique em " Tarefas " e selecione "Device ". Selecione a família para o dispositivo FPGA e selecione o nome do dispositivo a partir da caixa drop-down. Clique em " OK" para atribuir o dispositivo especificado.
3

Crie um arquivo de diagrama de blocos , clicando em " Arquivo", depois clicar em " Novo" e selecionar "Block Diagram /​​Arquivo Esquema ". Dê um nome ao arquivo e clique em " OK" para salvar o arquivo .

Crie um arquivo VHDL , clicando em " Arquivo" e " New " e selecione "File Verilog HDL . " Dê esse arquivo um nome e clique em "OK" para salvar. Adicione o código VHDL para seu projeto.
4

Adicione símbolos lógicos para o arquivo de diagrama de blocos para criar o seu diagrama esquemático . Clique em "Editar " e clique em " Inserir símbolo " para adicionar diferentes tipos de símbolos .
5

Renomeie as atribuições de pinos nos símbolos para coincidir com o hardware para o FPGA . Clique em " Processamento ", selecione "Iniciar" e clique em " Análise e Elaboração Start" para puxar o projeto em conjunto com o projeto e atribuir os pinos. Clique em " Tarefas " e clique em " Pins" para abrir a caixa de diálogo onde é possível atribuir cada pino do projeto para os valores no quadro.
6

Clique em "Iniciar Compilation " e salvar as alterações para o seu design. Clique em "Ferramentas " na barra de menu superior e clique em " Programador " para enviar o projeto para o dispositivo FPGA . Conecte o dispositivo ao computador usando o cabo USB e coloque a chave no dispositivo para a definição de "Run" . Ligue a placa FPGA e clique em " Iniciar" do software Quartus II para baixar o programa para o dispositivo.

Anterior :

Próximo : No
  Os artigos relacionados
·Como colorir um link de âncora 
·Como atualizar uma declaração em Informix 
·Diferenças entre o objeto abstrato Tipos de Dados e Ab…
·Como adicionar um show de luzes em suas fotos 
·Como Código Divs 
·Como detectar o tamanho de uma Viewport 
·Como exportar VBA para uma pasta de 
·Como fazer uma estrela em Texto 
·Como selecionar texto na caixa de texto em ASP.NET 
·Como filtrar as frequências em LabVIEW 
  Artigos em destaque
·Como Incorporar em Python 
·Como remover os últimos caracteres de strings em Pytho…
·Como preencher um vetor em C 
·Como criar um conjunto de dados hierárquica 
·Como adicionar um DataRow em um DataSet 
·Como transformar uma string em uma variável 
·Decimal Vs . Tipos Número de dados 
·Como ler um arquivo byte a byte em C + + 
·Prolog Idioma Tutorial 
·Como criar uma API com um código Assembly 
Cop e direita © Conhecimento computador http://ptcomputador.com Todos os Direitos Reservados